Saltar al contenido

ejemplo de código vhdl de declaración if

Viviana, parte de este gran equipo, nos hizo el favor de crear este post ya que conoce muy bien este tema.

Ejemplo 1: vhdl si entonces sintaxis

if <condition> then 
	<command>;
elsif <condition> then 
	<command>;
else 
	<command>;
end if;

Ejemplo 2: sentencia if vdhl

process(CLK, RESET)
begin
	if RESET = '1' then
		COUNT <= 0;
	elseif CLK'event and CLK='1' then
		if (COUNT >= 9) then
			COUNT <= 0;
		else
			COUNT <= COUNT + 1;
		end if;
	end if
end process;

Eres capaz de corroborar nuestro análisis exponiendo un comentario y puntuándolo te damos la bienvenida.

¡Haz clic para puntuar esta entrada!
(Votos: 0 Promedio: 0)



Utiliza Nuestro Buscador

Deja una respuesta

Tu dirección de correo electrónico no será publicada. Los campos obligatorios están marcados con *